Superscalar Processor Validation at the Microarchitecture Level 1
نویسنده
چکیده
We describe a rigorous ATPG-like methodology for validating the branch prediction mechanism of the Pow-erPC604 which can be easily generalized and made applicable to other processors. Test sequences based on nite state machine (FSM) testing are derived from small FSM-like models of the branch prediction mechanism. These sequences are translated into PowerPC instruction sequences. Simulation results show that 100% coverage of the targeted functionality is achieved using a very small number of simulation cycles. Simulation of some real programs against the same targeted func-tionality produces coverages that range between 34% and 75% with four orders of magnitude more cycles. We also use mutation analysis to modify some func-tionality of the behavioral model to further illustrate the eeectiveness of our generated sequence. Simulation results show that all 54 mutants in the branch prediction functionality can be detected by measuring transition coverage.
منابع مشابه
A Correctness Proof of a Speculative, Superscalar, Out-of-order, Renaming Microarchitecture | Extended Technical Report
Microarchitects are increasingly using techniques such as speculation, register renaming, and superscalar out-of-order execution to make use of instructionlevel parallelism. However, the growing complexity of modern microprocessors exacerbates the di culty of relating them to the simple machines that they emulate. Flaws found later in lower-level validation are often microarchitectural in natur...
متن کاملValidation of Turandot, a fast processor model for microarchitecture exploration
We describe the results in validating the performance projections from a parameterized trace-driven simulation model of a speculative out-of-order superscalar processor, which has been developed with the objective of acting as a microarchitecture exploration tool. Because of its objective, the model -called Turandothas been designed to deliver much higher simulation speed than what is achieved ...
متن کاملSpecifying superscalar microprocessors in Hawk
Hawk is a language for the speci cation of microprocessors at the microarchitectural level. In this paper we use Hawk to specify a modern microarchitecture based on the Intel P6 with features such as speculation, register renaming, and superscalar out-of-order execution. We show that parametric polymorphism, type-classes, higher-order functions, lazy evaluation, and the state monad are key to H...
متن کاملThe Microarchitecture of Superscalar Processors - Proceedings of the IEEE
Superscalar processing is the latest in a long series of innovations aimed at producing everyaster microprocessors. By exploiting instruction-level parallelism, superscalar processors are capable of executing more than one instruction in a clock cycle. This paper discusses the microarchitecture of superscalar processors. We begin with a discussion of the general problem solved by superscalar pr...
متن کاملFunctional verification of the z990 superscalar, multibook microprocessor complex
verification of the z990 superscalar, multibook microprocessor complex D. G. Bair S. M. German W. D. Wollyung E. J. Kaminski, Jr. J. Schafer M. P. Mullen W. J. Lewis R. Wisniewski J. Walter S. Mittermaier V. Vokhshoori R. J. Adkins M. Halas T. Ruane U. Hahn This paper describes the verification methods and techniques that were established to verify the microarchitecture and architectural correc...
متن کاملChapter 9 POWER MODELING AND REDUCTION OF VLIW PROCESSORS
Power is rapidly becoming one of the primary design constraints for modern processor design due to increased complexity and speed of the system. Cycle-accurate microarchitecture-level power simulators such as Wattch [1], SimplePower [2], and TE EST [3], have been developed and used extensively to validate power-efficient microarchitecture innovations, including clock gating [4], dynamically rec...
متن کامل